Universality Property Pattern

Intent

To describe a portion of a system's execution which contains only states that have a desired property. Also known as Henceforth and Always.


Example Mappings


Examples and Known Uses

This pattern can be applied in most situations where the absence pattern can be applied. This is especially true for state-based formalisms, e.g., where mutual exclusion could be formulated as absence or universality with a between scope.


Relationships

This pattern is closely related to the Absence and Existence patterns. Universality of a state can be viewed as absence of its negation. For event-based formalisms, we look for the existence of the positive event and absence of the negating event.

This is an Occurrence pattern.